مقایسه کننده
در برنامه ی زیر یک مقایسه کننده ی دو بیتی طراحی نموده ایم
خروجی این برنامه بر روی Seven_Segment بدین شرح است:
در صورت برابری خروجی برابر است با: E
در صورت کوچکتری خروجی برابر است با: L
در صورت بزرگتری نیز خروجی برابر است با: G
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity mogha is
Port ( a : in STD_LOGIC_VECTOR (1 downto 0);
b : in STD_LOGIC_VECTOR (1 downto 0);
y : out STD_LOGIC_VECTOR (6 downto 0));
end mogha;
architecture Behavioral of mogha is
begin
process(a, b)
begin
if (a > b) then
y <= not ("1101111");
elsif (a < b) then
y <= not ("0111000");
else
y <= not ("1111001");
end if;
end process;
end Behavioral;