بلاگ شخصی کیوان مهدوی

بلاگ شخصی کیوان مهدوی

به وبلاگ رسمی کیوان مهدوی خوش آمدید

۲ مطلب با کلمه‌ی کلیدی «شمارنده» ثبت شده است

هدف ما در این برنامه طراحی یک شمارنده ی Up Down سه بیتی است، که خروجی آن بر روی SevenSegment می باشد.

در این برنامه با توجه به متغیر x، در صورتی که برابر با "0" باشد عدد بعدی را تولید کرده و در صورتی که برابر با "1" باشد عدد قبلی را تولید می نماید

 

 < > 000 < > 111 < > 110 < > 101 < > 100 < > 011 < > 010 < > 001 < >

kvnmahdavi
۲۴ آذر ۹۲ ، ۱۹:۰۸ موافقین ۱ مخالفین ۰ ۰ نظر


هدف از این برنامه طراحی یک شمارنده ی جانسون 4 بیتی است. خروجی این برنامه بر روی LED است.

 

*** یادآوری: این شمارنده به صورت چرخشی اعداد زیر را تولید می کند:

0 > 8 > 12 > 14 > 15 > 7 > 3 > 1 >

 

kvnmahdavi
۲۴ آذر ۹۲ ، ۱۸:۵۷ موافقین ۱ مخالفین ۰ ۰ نظر