بلاگ شخصی کیوان مهدوی

بلاگ شخصی کیوان مهدوی

به وبلاگ رسمی کیوان مهدوی خوش آمدید

۱ مطلب با کلمه‌ی کلیدی «مقایسه کننده» ثبت شده است


در برنامه ی زیر یک مقایسه کننده ی دو بیتی طراحی نموده ایم

خروجی این برنامه بر روی Seven_Segment بدین شرح است:

در صورت برابری خروجی برابر است با: E

در صورت کوچکتری خروجی برابر است با: L

در صورت بزرگتری نیز خروجی برابر است با: G

 

 

kvnmahdavi
۲۴ آذر ۹۲ ، ۱۸:۴۲ موافقین ۱ مخالفین ۰ ۰ نظر